Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

incomplete IO port list #32

Closed
veripoolbot opened this issue Sep 20, 2008 · 3 comments
Closed

incomplete IO port list #32

veripoolbot opened this issue Sep 20, 2008 · 3 comments
Assignees
Labels
area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Guy-Armand Kamendje
Original Redmine Issue: 32 from https://www.veripool.org
Original Date: 2008-09-20
Original Assignee: Wilson Snyder (@wsnyder)


I also noticed that Verilator 3.665 will accept modules with incomplete IO port list. I am not very familiar with the Verilog standard but I noticed that synthesis tool will rather flag a severe error in such cases. What I mean is the following:

module foo(clk, reset );
input clk; // <== clock signal
input reset; // <== reset signal
input work_in; // <==
endmodule

Omitting to include the signal work_in in the port list does not result in a error. Is there a compiler option that has to be set in order to catch these cases?

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2008-09-23T14:03:47Z


Fixed in git repository, will be in next release.

(It even caught some mistakes in the selftests.)

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Guy-Armand Kamendje
Original Date: 2008-10-02T13:42:09Z


Hi,
I still get the IO port list issue in version 3.671. I know that I can get around using the AUTOs but for that I have to modifiy my complete make file.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2008-10-02T13:52:43Z


It's fixed in the GIT repository (see Install), but not released yet. Probably next week.

@veripoolbot veripoolbot added area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

2 participants