Navigation Menu

Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

port named 'ref' #328

Closed
veripoolbot opened this issue Mar 2, 2011 · 2 comments
Closed

port named 'ref' #328

veripoolbot opened this issue Mar 2, 2011 · 2 comments

Comments

@veripoolbot
Copy link
Collaborator


Author Name: Daniel Schoch
Original Redmine Issue: 328 from https://www.veripool.org
Original Date: 2011-03-02


The parser complains about module ports named 'ref'. I assume that's a bug, however I'm not that familiar with verilog so I might be wrong.

Following line wont parse, aborting with syntax error because of port named 'ref'. Renaming 'ref' to something else works fine.

module rtl_spislave(so_xoe, zwindow , frame_set , bus_wr , bus_rd , xss , sclk , si ,
     spi_3wire , xrst , si_xoe , si_out , so , bus_dout , bus_a , bus_din , inc, ref );

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2011-03-02T13:31:52Z


"ref" is a SystemVerilog keyword. You should fix the code, or use the --language (or Verilog::Language::language_standard) option.

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2011-03-02T13:34:28Z


BTW, there's more info on the general keyword problem in the paper here in section 2.1:

http://www.veripool.org/papers/TenIPEdits_SNUGBos07_paper.pdf

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant