Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Loops not unrolling due to width mismatches? #333

Closed
veripoolbot opened this issue Mar 10, 2011 · 2 comments
Closed

Loops not unrolling due to width mismatches? #333

veripoolbot opened this issue Mar 10, 2011 · 2 comments
Assignees
Labels
resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800

Comments

@veripoolbot
Copy link
Contributor


Author Name: Joe Eiler
Original Redmine Issue: 333 from https://www.veripool.org
Original Date: 2011-03-10
Original Assignee: Wilson Snyder (@wsnyder)


This might be intended behavior. I would have expected width mismatch warnings but was confused by the BLKLOOPINIT warnings. Below is a patch to the t_unroll_signed regression test that illustrates what I saw.

 
--- t_unroll_signed.v   2008-06-09 19:17:16.000000000 -0500
+++ t_jce_unroll.v      2011-03-10 14:50:02.000000000 -0600
@@ -118,6 +118,36 @@
            if (total != -28) $stop;
         end
         //===
+       10: begin
+          // mostly cover a small index
+          total = 0;
+          for (i3=0; i3<3'd7; i3=i3+3'd1) begin
+             total = total - {29'd0,i3} -1;
+             dly_to_insure_was_unrolled[i3[0]] <= 0;
+          end
+          if (total != -28) $stop;
+       end
+       //===
+       11: begin
+          // mostly cover a small index
+          total = 0;
+          for (i3=3'd0; i3<7; i3=i3+3'd1) begin
+             total = total - {29'd0,i3} -1;
+             dly_to_insure_was_unrolled[i3[0]] <= 0;
+          end
+          if (total != -28) $stop;
+       end
+       //===
+       12: begin
+          // mostly cover a small index
+          total = 0;
+          for (i3=3'd0; i3<3'd7; i3=i3+1) begin
+             total = total - {29'd0,i3} -1;
+             dly_to_insure_was_unrolled[i3[0]] <= 0;
+          end
+          if (total != -28) $stop;
+       end
+       //===
         19: begin
            $write("*-* All Finished *-*\n");
            $finish;

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2011-03-12T12:47:48Z


Thanks for the good test.

This wasn't really intentionally unsupported, but as you surmised a side
effect of width problems so never uncovered before.

Fixed in git for 3.812+.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2011-04-06T11:41:05Z


In 3.812.

@veripoolbot veripoolbot added resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800 labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800
Projects
None yet
Development

No branches or pull requests

2 participants