Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

verilated.h is missing the declaration of VL_FCLOSE_I #363

Closed
veripoolbot opened this issue Jul 5, 2011 · 2 comments
Closed

verilated.h is missing the declaration of VL_FCLOSE_I #363

veripoolbot opened this issue Jul 5, 2011 · 2 comments
Assignees
Labels
area: configure/compiling Issue involves configuring or compilating Verilator itself resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Liviu Morogan
Original Redmine Issue: 363 from https://www.veripool.org
Original Date: 2011-07-05
Original Assignee: Wilson Snyder (@wsnyder)


After upgrading to the latest Verilator (commit:69666063), the build of my project failed with the following errors:

Vsys_top_sys_top.cpp: In static member function ‘static void Vsys_top_sys_top::_initial__TOP__v(Vsys_top__Syms*)’:
Vsys_top_sys_top.cpp:406:54: error: ‘VL_FCLOSE_I’ was not declared in this scope
Vsys_top_sys_top.cpp:429:54: error: ‘VL_FCLOSE_I’ was not declared in this scope
..... more errors like the ones above ....

Everything was fine after adding this line inside 'include/verilated.h':

extern void VL_FCLOSE_I(IData fdi);

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2011-07-06T00:43:18Z


Sorry about that, missed a few others too & fixed the test.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Liviu Morogan
Original Date: 2011-07-06T01:23:20Z


This is just to confirm that the issue is resolved. Thanks for the quick response.

@veripoolbot veripoolbot added area: configure/compiling Issue involves configuring or compilating Verilator itself resolution: fixed Closed; fixed labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: configure/compiling Issue involves configuring or compilating Verilator itself resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

2 participants