Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Support For package item parameter declaration #39

Closed
veripoolbot opened this issue Oct 23, 2008 · 1 comment
Closed

Support For package item parameter declaration #39

veripoolbot opened this issue Oct 23, 2008 · 1 comment
Assignees

Comments

@veripoolbot
Copy link
Collaborator


Author Name: Nicky Ayoub
Original Redmine Issue: 39 from https://www.veripool.org
Original Date: 2008-10-23
Original Assignee: Wilson Snyder (@wsnyder)


This one is iffy. I created a quick patch to support a module I have with package scoped parameters.

[nicky@loki Verilog-Perl]$ cat verilog/v_param.v 

parameter MOST_SIG_BIT = 7;
parameter LEAST_SIG_BIT = 0;

module testcase (
output logic [MOST_SIG_BIT:LEAST_SIG_BIT] data,
            output logic       data_rdy,
            input              serial_in
           );

endmodule

The patch is extremely simple and does not handle the full set of SV productions that are needed.
I created a @packageItem@ production that points to @varDecl@. It's probably not compliant but "it works for me".
Looking at the grammar in the spec, this solution looks to be subset so I think it's safe but not complete. I will go through it more closely in the morning. Hopefully the patch lets you see where I am going with this.

As always, any pointers are appreciated!

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2008-11-07T16:40:04Z


IMHO I think global variables were a really bad addition as it restricts IP reuse... But that doesn't change that it's valid code ;(

Anyhow, committed to git. I plan on pushing a release Monday including this unless something else comes up.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants