Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Missing header in V3Ast.cpp #40

Closed
veripoolbot opened this issue Oct 25, 2008 · 1 comment
Closed

Missing header in V3Ast.cpp #40

veripoolbot opened this issue Oct 25, 2008 · 1 comment
Assignees
Labels
area: configure/compiling Issue involves configuring or compilating Verilator itself resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Jeremy Bennett (@jeremybennett)
Original Redmine Issue: 40 from https://www.veripool.org
Original Date: 2008-10-25
Original Assignee: Wilson Snyder (@wsnyder)


Using GCC 4.3 on Fedora 9 and Verilator 3.680.

I found I had to add the following C header to V3Ast.cpp

#include <string.h>

This is because the code uses the C function strncmp.

Thereafter the code compiled, but make test failed with:

g++ -I. -MMD -I/home/jeremy/tools/verilator/verilator-3.680/test_c/../include -DVL_PRINTF=printf -DVM_TRACE=0 -DVM_COVERAGE=0 -DVL_DEBUG=1 -c -o Vtop__ALLcls.o Vtop__ALLcls.cpp
g++: linux: No such file or directory
g++: linux: No such file or directory
make[2]: *** [sim_main.o] Error 1
make[2]: *** Waiting for unfinished jobs....
make[2]: *** [verilated.o] Error 1
make[2]: Leaving directory /home/jeremy/tools/verilator/verilator-3.680/test_c/obj_dir' make[1]: *** [compile] Error 2 make[1]: Leaving directory /home/jeremy/tools/verilator/verilator-3.680/test_c'
make: *** [test_c] Error 2

However verilator seems to run OK.

HTH,

Jeremy

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2008-10-29T01:10:49Z


Thanks, this is fixed in the git repository. Note a couple of git versions incorrectly marked this as fixed in 3.680, actually it'll be in the next release 3.681.

@veripoolbot veripoolbot added area: configure/compiling Issue involves configuring or compilating Verilator itself resolution: fixed Closed; fixed labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: configure/compiling Issue involves configuring or compilating Verilator itself resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

2 participants