Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

verilator 3.823 segfaults on make test #401

Closed
veripoolbot opened this issue Oct 25, 2011 · 1 comment
Closed

verilator 3.823 segfaults on make test #401

veripoolbot opened this issue Oct 25, 2011 · 1 comment
Assignees
Labels
area: configure/compiling Issue involves configuring or compilating Verilator itself resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: أحمد المحمودي
Original Redmine Issue: 401 from https://www.veripool.org
Original Date: 2011-10-25
Original Assignee: Wilson Snyder (@wsnyder)


While building verilator 3.823 on Debian unstable, it seg faulted on 'make test' with the following error:

make[2]: Entering directory `/tmp/buildd/verilator-3.823/test_c'
/usr/bin/perl /tmp/buildd/verilator-3.823/test_c/../bin/verilator --debug --debugi 0 --gdbbt --no-dump-tree -V
No stack.
         gdb /tmp/verilator-3.823/test_c/../verilator_bin_dbg --batch --quiet --return-child-result -ex 'run --debug --debugi 0 --gdbbt --no-dump-tree -V' -ex 'set width 0' -ex 'bt'

Program received signal SIGSEGV, Segmentation fault.
0x00002aaaaaf4304a in std::_Rb_tree_decrement(std::_Rb_tree_node_base*) () from /usr/lib/x86_64-linux-gnu/libstdc++.so.6
#0  0x00002aaaaaf4304a in std::_Rb_tree_decrement(std::_Rb_tree_node_base*) () from /usr/lib/x86_64-linux-gnu/libstdc++.so.6
#1  0x00000000004d954b in std::_Rb_tree_iterator<std::pair<std::string const, int> >::operator-- (this=0x7fffffffde80) at /usr/include/c++/4.6/bits/stl_tree.h:203
#2  0x00000000004d907c in std::_Rb_tree<std::string, std::pair<std::string const, int>, std::_Select1st<std::pair<std::string const, int> >, std::less<std::string>, std::allocator<std::pair<std::string const, int> > >::_M_insert_unique (this=0x989a20, __v=...) at /usr/include/c++/4.6/bits/stl_tree.h:1277
#3  0x00000000004d8cf5 in std::map<std::string, int, std::less<std::string>, std::allocator<std::pair<std::string const, int> > >::insert (this=0x989a20, __x=...) at /usr/include/c++/4.6/bits/stl_map.h:518
#4  0x0000000000531d95 in FileLine::nameToNumber (filename=...) at ../V3Error.cpp:95
#5  0x000000000045982a in FileLine::FileLine (this=0x98b430, filename=..., lineno=0) at ../V3Error.h:289
#6  0x000000000046cfc7 in AstNetlist::AstNetlist (this=0x98b340) at ../V3AstNodes.h:4036
#7  0x000000000046d198 in V3Global::V3Global (this=0x989620) at ../V3Global.h:57
#8  0x000000000046c7ad in __static_initialization_and_destruction_0 (__initialize_p=1, __priority=65535) at ../Verilator.cpp:92
#9  0x000000000046c7d9 in _GLOBAL__sub_I_v3Global () at ../Verilator.cpp:635
#10 0x00000000006198fd in __libc_csu_init ()
#11 0x00002aaaab68fe40 in __libc_start_main () from /lib/x86_64-linux-gnu/libc.so.6
#12 0x0000000000404679 in _start ()
%Error: export VERILATOR_ROOT=/tmp/buildd/verilator-3.823/test_c/..
%Error: /tmp/buildd/verilator-3.823/test_c/../verilator_bin_dbg --debug --debugi 0 --gdbbt --no-dump-tree -V
%Error: Command Failed /tmp/buildd/verilator-3.823/test_c/../verilator_bin_dbg --debug --debugi 0 --gdbbt --no-dump-tree -V


The complete build log is attached.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2011-10-25T23:10:48Z


Classic constructor order bug. Fixed in 3.824, and made that released just now since this will likely be hit by others.

@veripoolbot veripoolbot added area: configure/compiling Issue involves configuring or compilating Verilator itself resolution: fixed Closed; fixed labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: configure/compiling Issue involves configuring or compilating Verilator itself resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

2 participants