Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

clocks and signals not recognized in generate loops #45

Closed
veripoolbot opened this issue Nov 22, 2008 · 2 comments
Closed

clocks and signals not recognized in generate loops #45

veripoolbot opened this issue Nov 22, 2008 · 2 comments
Assignees
Labels
resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800

Comments

@veripoolbot
Copy link
Contributor


Author Name: Rodney Sinclair
Original Redmine Issue: 45 from https://www.veripool.org
Original Date: 2008-11-22
Original Assignee: Wilson Snyder (@wsnyder)


The attached source illustrates two error messages associated with clocks and registers within generate statements:



verilator --cc tb1.v tb.cc # bussed clocks

verilator --cc tb2.v tb.cc # bussed output (work around for bussed clocks)

verilator --cc tb3.v tb.cc # work around for both busses

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2008-11-23T00:02:01Z


The first I'll look at supporting.

The second case isn't an error, but a warning

%Warning-MULTIDRIVEN: tb2.v:4: Signal has multiple driving blocks: count

This is a correct warning. While each bit doesn't have a different block the signal does. Verilator doesn't perform bit level analysis of this and it's not something I can work on right now, so you'll need to just turn off the warning; or simply move the initial loop outside the generate.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2008-11-23T02:15:17Z


The below patch should fix this. It will be in the next release, which is fairly major, so it is likely to be a few weeks.

@veripoolbot veripoolbot added resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800 labels Dec 22, 2019
This was referenced Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800
Projects
None yet
Development

No branches or pull requests

2 participants