You signed in with another tab or window. Reload to refresh your session.You signed out in another tab or window. Reload to refresh your session.You switched accounts on another tab or window. Reload to refresh your session.Dismiss alert
Author Name: Iztok Jeras (@jeras)
Original Redmine Issue: 450 from https://www.veripool.org
Original Date: 2012-03-07
Original Assignee: Wilson Snyder (@wsnyder)
Hi,
Currently identifiers at the end of the module are allowed but not checked. NCsim creates a warning, but this could also be a lint error, since it is very easy to fix.
The next constructs should support end identifiers (not sure which are or will be implemented in verilator):
module/endmodule
package/endpackage
interface/endinterface
covergroup/endgroup
config/endconfig
program/endprogram
class/endclass
function/endfunction
task/endtask
property/endproperty
sequence/endsequence
begin/end (inside generate, always, fork/join, ... blocks)
primitive/endprimitive
fork/join|join_any|join_none
clocking/endclocking
The next tests at least partially test this issue:
test_regress/t/t_hierarchy_identifier.pl
test_regress/t/t_hierarchy_identifier_bad.pl (should report a warning or error)
test are available at: https://github.com/jeras/verilator
Regards,
Iztok Jeras
The text was updated successfully, but these errors were encountered:
Author Name: Iztok Jeras (@jeras)
Original Redmine Issue: 450 from https://www.veripool.org
Original Date: 2012-03-07
Original Assignee: Wilson Snyder (@wsnyder)
Hi,
Currently identifiers at the end of the module are allowed but not checked. NCsim creates a warning, but this could also be a lint error, since it is very easy to fix.
The next constructs should support end identifiers (not sure which are or will be implemented in verilator):
module/endmodule
package/endpackage
interface/endinterface
covergroup/endgroup
config/endconfig
program/endprogram
class/endclass
function/endfunction
task/endtask
property/endproperty
sequence/endsequence
begin/end (inside generate, always, fork/join, ... blocks)
primitive/endprimitive
fork/join|join_any|join_none
clocking/endclocking
The next tests at least partially test this issue:
test_regress/t/t_hierarchy_identifier.pl
test_regress/t/t_hierarchy_identifier_bad.pl (should report a warning or error)
test are available at:
https://github.com/jeras/verilator
Regards,
Iztok Jeras
The text was updated successfully, but these errors were encountered: