Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Support for SystemVerilog "parameter type" #480

Closed
veripoolbot opened this issue Apr 8, 2012 · 2 comments
Closed

Support for SystemVerilog "parameter type" #480

veripoolbot opened this issue Apr 8, 2012 · 2 comments
Labels
resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800

Comments

@veripoolbot
Copy link
Contributor


Author Name: Iztok Jeras (@jeras)
Original Redmine Issue: 480 from https://www.veripool.org
Original Date: 2012-04-08


Hi,

The SystemVerilog feature "Parameter type" is not yet supported, I created a test for it. The provided test creates various instances of a module where one of the ports has a parameterized type. This parameter is different for each module instance. Modules report back the width of the parameterized port, this width is then used to check if the tested feature works as expected.

The next example can be used to test the feature: test_regress/t/t_parameter_type.v
the test can be found at (branch test_sv): https://github.com/jeras/verilator

Regards,
Iztok Jeras

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2012-04-08T22:08:23Z


Great, merged this into git, though renamed t_param_type to match the other param types.

Closing, as test merged, and feature bug tracked in #�. There's currently a contributor working on param type.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2016-03-15T01:53:06Z


FYI #� is now resolved, and this test is turned on.

@veripoolbot veripoolbot added resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800 labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800
Projects
None yet
Development

No branches or pull requests

1 participant