Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Support for SystemVerilog "interface" #481

Closed
veripoolbot opened this issue Apr 8, 2012 · 1 comment
Closed

Support for SystemVerilog "interface" #481

veripoolbot opened this issue Apr 8, 2012 · 1 comment
Labels
resolution: duplicate Closed; issue or pull request already exists type: feature-IEEE Request to add new feature, described in IEEE 1800

Comments

@veripoolbot
Copy link
Contributor


Author Name: Iztok Jeras (@jeras)
Original Redmine Issue: 481 from https://www.veripool.org
Original Date: 2012-04-08


Hi,

The SystemVerilog feature "interface" is not yet supported, I created a test for it. The interface in the example implements a simple handshake protocol (request/grant). The interface connects a request source to a drain which grants the requests. LFSR are used to randomize the request and grant signal. All three (source, interface, drain) count the number of granted requests. The test checks if this three numbers are in agreement before it finishes. Modports are used to prevent the source/drain instances from accessing the interface internal counter.

The next example can be used to test the feature: test_regress/t/t_interface.v
the test can be found at (branch test_sv): https://github.com/jeras/verilator

Regards,
Iztok Jeras

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2012-04-08T22:15:13Z


Thanks for the test, merged it into git.

Closing as the feature is tracked in #�.

@veripoolbot veripoolbot added resolution: duplicate Closed; issue or pull request already exists type: feature-IEEE Request to add new feature, described in IEEE 1800 labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
resolution: duplicate Closed; issue or pull request already exists type: feature-IEEE Request to add new feature, described in IEEE 1800
Projects
None yet
Development

No branches or pull requests

1 participant