Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Mixing tristate and low-Z drivers. Error Msg unclear. #51

Closed
veripoolbot opened this issue Jan 21, 2009 · 3 comments
Closed

Mixing tristate and low-Z drivers. Error Msg unclear. #51

veripoolbot opened this issue Jan 21, 2009 · 3 comments
Assignees
Labels
resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Dennis Muhlestein
Original Redmine Issue: 51 from https://www.veripool.org
Original Date: 2009-01-21
Original Assignee: Wilson Snyder (@wsnyder)


When you get this Error message, there is no additional information that tells you the name of the signal, the file, or line number that is causing verilator to throw the Error.

Workaround 1: use @--debugi=9@
This causes an inordinate amount of output on a big model.

Workaround 2: recompile verilator with higher debug level in V3Tristate.cpp

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Dennis Muhlestein
Original Date: 2009-01-22T20:31:40Z


Here is a simple patch that adds the module and signal name of the current signal causing the problem.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2012-04-22T02:43:59Z


Fixed in git towards 3.840+.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2012-07-31T22:57:12Z


In 3.840.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

2 participants