Navigation Menu

Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Tristate causes error (in graph, not converted) #514

Closed
veripoolbot opened this issue May 15, 2012 · 1 comment
Closed

Tristate causes error (in graph, not converted) #514

veripoolbot opened this issue May 15, 2012 · 1 comment
Assignees
Labels
resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Jeremy Bennett (@jeremybennett)
Original Redmine Issue: 514 from https://www.veripool.org
Original Date: 2012-05-15
Original Assignee: Wilson Snyder (@wsnyder)


Probably a result of recent upgrades to tristate handling, this reportedly worked in the past.

module t (clk);
    input clk;

    wire [11:0] ck;

    assign ck[1:0] = {1'bz,{1{1'b0}}};

    test i_test (.clk (ck[1:0]));

endmodule
 
module test (clk);

    output wire [1:0] clk;

endmodule // test

Gives the error:

%Error: t/t_tri_graph.v:21: Unsupported tristate construct (in graph; not converted): SEL

where line 21, is the line instantiating the sub-module.

Please pull a testcase from https://github.com/jeremybennett/verilator/tree/tristate-graph

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2012-05-15T23:26:28Z


Yup, missed case in recent tristate changes. Fixed in git.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

2 participants