Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Support for reserved words weak0 and weak1 #528

Closed
veripoolbot opened this issue Jun 29, 2012 · 2 comments
Closed

Support for reserved words weak0 and weak1 #528

veripoolbot opened this issue Jun 29, 2012 · 2 comments
Labels
effort: days Expect this issue to require roughly days of invested effort to resolve resolution: wontfix Closed; work won't continue on an issue or pull request type: feature-IEEE Request to add new feature, described in IEEE 1800

Comments

@veripoolbot
Copy link
Contributor


Author Name: Christian Gelinek
Original Redmine Issue: 528 from https://www.veripool.org
Original Date: 2012-06-29


Code:

module hold(Y);
inout Y;

wire io_wire;

  buf(weak0,weak1) I0(Y, io_wire);
  buf              I1(io_wire, Y);

endmodule // hold

Causes the following errors:

Unsupported: Verilog 1995 reserved word not implemented: weak0
Unsupported: Verilog 1995 reserved word not implemented: weak1

I am using it for @--lint-only@, with the @-language "1800-2005"@ option set.

The example Verilog code is part of a standard cell library, so there are lots of errors caused by this. I also tried to use the @-v standard_cells.v@ switch, hoping that Verilator would ignore the "contents" of the cells, but without success - Verilator stops at these errors, preventing me to see other warnings and errors in my own code.

If I don't include the standard_cells.v file in my call to Verilator, I get lots of warnings about my code, but (unsurprisingly) also complains about not being able to find the modules defined in standard_cells.v.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2012-11-03T12:04:10Z


Made a very simple attempt of just treating keywords as normal wires, but wasn't sufficient, so needs more attention to complete.

@veripoolbot veripoolbot added effort: days Expect this issue to require roughly days of invested effort to resolve type: feature-IEEE Request to add new feature, described in IEEE 1800 labels Dec 22, 2019
@wsnyder wsnyder added the resolution: wontfix Closed; work won't continue on an issue or pull request label Jun 19, 2021
@wsnyder
Copy link
Member

wsnyder commented Jun 19, 2021

It's unlikely this will be a priority for quite some time, so closing out.

@wsnyder wsnyder closed this as completed Jun 19, 2021
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
effort: days Expect this issue to require roughly days of invested effort to resolve resolution: wontfix Closed; work won't continue on an issue or pull request type: feature-IEEE Request to add new feature, described in IEEE 1800
Projects
None yet
Development

No branches or pull requests

2 participants