Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Nested ifdef with generate indentation bug #559

Closed
veripoolbot opened this issue Sep 6, 2012 · 2 comments
Closed

Nested ifdef with generate indentation bug #559

veripoolbot opened this issue Sep 6, 2012 · 2 comments
Labels

Comments

@veripoolbot
Copy link
Collaborator


Author Name: Cyrus Cheung
Original Redmine Issue: 559 from https://www.veripool.org
Original Date: 2012-09-06
Original Assignee: Alex Reed


Hi Mac:

I've filed this bug before (a year or longer ago perhaps, though not thru veripool issue tracker) but you must be too busy to get around fixing it. Hopefully, this time it's easier for you to see the problem as I stripped the code to the minimum to show the problem. Attached file (try.v) shows that DP_CRN1V15_Connection has wrong indentation.

I did a little debugging also:

  • The bug was not there in r413, but r575 on, it was there. I'm not sure which version between r413 and r575 that it got inserted.
  • Changing ifdef to ifndef or vice versa doesn't matter.
  • Get rid of the generate statements, it works
  • It doesn't matter if the generate keyword is inside or outside of the ifndef, same result
  • Having only for loop gen block or if gen block has the same effect of having multiple genblocks (nested for and if) as attached.

I appreciate you guys keep making programming verilog code simpler.
Thanks a million in advance of fixing it

Thanks,
Cyrus

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Alex Reed
Original Date: 2015-03-10T20:07:09Z


Indentation appears correct with latest version of verilog-mode.el - no fix required at this time. I've added a test. Wilson, please pull from https://github.com/acr4/verilog-mode/tree/issue-559

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2015-03-13T21:01:09Z


Test pushed to git, thanks.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
Projects
None yet
Development

No branches or pull requests

1 participant