Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Short-circuit bitwise-AND and bitwise-OR (Verilog only) #578

Closed
veripoolbot opened this issue Nov 13, 2012 · 2 comments
Closed

Short-circuit bitwise-AND and bitwise-OR (Verilog only) #578

veripoolbot opened this issue Nov 13, 2012 · 2 comments
Labels
resolution: no fix needed Closed; no fix required (not a bug) type: feature-IEEE Request to add new feature, described in IEEE 1800

Comments

@veripoolbot
Copy link
Contributor


Author Name: Jeremy Bennett (@jeremybennett)
Original Redmine Issue: 578 from https://www.veripool.org
Original Date: 2012-11-13
Original Assignee: Jeremy Bennett (@jeremybennett)


The earlier patch for Issue 413 (http://www.veripool.org/issues/413-Verilator-generate-conditional-with-short-circuited-local-expression) implemented short-circuiting in line with the SystemVerilog IEEE 1800-2005 standard, which permits this only for logical OR, logical AND and the conditional operator. Verilog is more permissive, allowing short-circuiting of other operators, notably bitwise-AND and bitwise-OR (the former being used in the Verilog 2001 standard as an example).

This patch implements Verilog short-circuiting for bitwise-AND and bitwise-OR for source files, whose language type is Verilog 1995, Verilog 2001 or Verilog 2005. This can be set using @--language@/@--default-language@ or by the various file extension options, such as @+verilog2001ext+@. This patch builds on the changes in the patch to fix Issue 532 (http://www.veripool.org/issues/532).

Note. I don't have a copy of the Verilog 2005 standard, to check that this still permits such short-circuiting. It is trivial to change the function @isVerilog()@ in @V3Const.cpp@ to restrict to just Verilog 1995 and Verilog 2001 if necessary.

All existing regression tests still pass. Please pull the patch from the verilog-short-circuit branch at https://github.com/jeremybennett/verilator. Note this must be applied after the patch to Issue 532.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2012-11-14T02:21:38Z


The language in 1394 says "the entire expression need not be evaluated", this is not a shall/must as is in the recent standard. Furthermore I ran the test on NC-Verilog and it does NOT seem to short circuit verilog 2001 | or &.

Therefore I believe the existing implementation is compliant, and I'm reluctant to have behavior that is different for other language versions if it can at all be avoided.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2012-11-14T02:30:11Z


I think this is a duplicate of #�. Let's track it there.

@veripoolbot veripoolbot added resolution: nofixneeded type: feature-IEEE Request to add new feature, described in IEEE 1800 resolution: no fix needed Closed; no fix required (not a bug) and removed resolution: nofixneeded labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
resolution: no fix needed Closed; no fix required (not a bug) type: feature-IEEE Request to add new feature, described in IEEE 1800
Projects
None yet
Development

No branches or pull requests

1 participant