Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

SV Interface indentation issue in module ports #636

Closed
veripoolbot opened this issue Apr 11, 2013 · 3 comments
Closed

SV Interface indentation issue in module ports #636

veripoolbot opened this issue Apr 11, 2013 · 3 comments
Labels

Comments

@veripoolbot
Copy link
Collaborator


Author Name: Christophe Clienti
Original Redmine Issue: 636 from https://www.veripool.org


SV Interfaces with modports are badly indented. You can reproduce the issue using the folloing code.

//-----------------------------------------------------------
module mymodule (input logic reset_n,
input logic clock,
streambus.sink sink,
streambus.source source,
output logic interrupt_pulse);

logic [31:0]               test;
streambus.source source;

//-----------------------------------------------------------

The same issue is also observed in the signal declaration within the module

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Christophe Clienti
Original Date: 2013-04-11T14:12:22Z


SV Interfaces with modports are badly indented. You can reproduce the issue using the folloing code.

//-----------------------------------------------------------
module mymodule (input logic  reset_n,
                  input logic  clock,
                               streambus.sink sink,
                               streambus.source source,
                  output logic interrupt_pulse);

    logic [31:0]               test;
    streambus.source source;
//-----------------------------------------------------------

The same issue is also observed in the signal declaration within the module

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2017-11-19T13:16:23Z


Still a problem, maybe someone would like to contribute a patch?

wsnyder pushed a commit that referenced this issue Jun 9, 2022
* verilog-mode.el (verilog-declaration-or-iface-mp-re)
(verilog-declaration-or-iface-mp-re-1-no-macro)
(verilog-declaration-or-iface-mp-re-2-no-macro)
(verilog-get-lineup-indent, verilog-interface-modport-re)
(verilog-pretty-declarations):
Fix alignment of declaration of interfaces with modports (#636) (#1770).
@wsnyder
Copy link
Member

wsnyder commented Jun 9, 2022

Thanks for another great fix!

@wsnyder wsnyder closed this as completed Jun 9, 2022
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
Projects
None yet
Development

No branches or pull requests

2 participants