Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

generate problems with non-zero min index array #642

Closed
veripoolbot opened this issue May 10, 2013 · 2 comments
Closed

generate problems with non-zero min index array #642

veripoolbot opened this issue May 10, 2013 · 2 comments
Assignees
Labels
resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Krzysztof Jankowski
Original Redmine Issue: 642 from https://www.veripool.org
Original Date: 2013-05-10
Original Assignee: Wilson Snyder (@wsnyder)


     parameter SIZE = 4;
     logic[SIZE:1][3:0]  delay;
     genvar              i;
     generate
         for (i = 2; i < (SIZE+1); i++)
         begin
             always_ff @(posedge clock)
             begin
                 delay[i][3:0] <= delay[i-1][3:0];
             end
         end
     endgenerate
     always_comb datao = delay[SIZE][3:0];

Above code snippet doesn't compile, verilator quits with error
??%Error: Internal Error: test2.sv:20: ../V3Ast.cpp:343: Adding already linked node.??
It works fine with delay[SIZE-1:0][3:0]. Full code attached.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2013-05-10T11:10:16Z


Good example. Simple enough, fixed in git towards 3.847.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2013-05-11T20:18:10Z


In 3.847.

@veripoolbot veripoolbot added the resolution: fixed Closed; fixed label Dec 22, 2019
tgorochowik pushed a commit to antmicro/verilator that referenced this issue Feb 29, 2024
Handle vpiParameter case as reference
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

2 participants