Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

verilator confuses parameter and pin in the error message #704

Closed
veripoolbot opened this issue Dec 6, 2013 · 2 comments
Closed

verilator confuses parameter and pin in the error message #704

veripoolbot opened this issue Dec 6, 2013 · 2 comments
Assignees
Labels
area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Alex Solomatnikov
Original Redmine Issue: 704 from https://www.veripool.org
Original Date: 2013-12-06
Original Assignee: Wilson Snyder (@wsnyder)


RTL:

module xba #( parameter integer C_M_AXI_ADDR_WIDTH = 32,
               parameter integer C_M_AXI_ID_WIDTH   = 6,
               parameter integer C_M_AXI_DATA_WIDTH = 64 )
    (
...
             xba #( .C_S_AXI_ADDR_WIDTH(`AXI_ADDR_WIDTH),
                    .C_S_AXI_ID_WIDTH  (`AXI_ID_WIDTH),
                    .C_S_AXI_DATA_WIDTH(`AXI_DATA_WIDTH) ) u_xba
                  (

Error message:

%Error: env_site_top.v:196: Pin not found: C_S_AXI_ADDR_WIDTH
%Error: env_site_top.v:197: Pin not found: C_S_AXI_ID_WIDTH
%Error: env_site_top.v:198: Pin not found: C_S_AXI_DATA_WIDTH

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2013-12-14T23:05:34Z


Fixed in git towards 3.855.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2014-01-18T18:30:47Z


In 3.855.

@veripoolbot veripoolbot added area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

2 participants