Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Import function context scope should be the declaration scope not the calling scope #740

Closed
veripoolbot opened this issue Apr 11, 2014 · 3 comments
Labels
area: wrong runtime result Issue involves an incorrect runtine result from Verilated model resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Geoff Barrett
Original Redmine Issue: 740 from https://www.veripool.org
Original Date: 2014-04-11
Original Assignee: Geoff Barrett


In the following code, the context of the import function call should be the scope at the time of declaration, not the scope at the time of calling:

{code}
module...

import "DPI-C" context function void dpi_import();

begin : caller_scope
dpi_import();
end

endmodule
{code}

Currently the scope returns .caller_scope instead of .

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2014-06-07T01:54:01Z


Might you have a patch to fix this?

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2014-07-22T00:56:27Z


Thanks for the patches.

Fixed in git towards 3.863.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2014-09-21T13:10:51Z


In 3.864.

@veripoolbot veripoolbot added area: wrong runtime result Issue involves an incorrect runtine result from Verilated model resolution: fixed Closed; fixed labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: wrong runtime result Issue involves an incorrect runtine result from Verilated model resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

1 participant