Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Verilog::Preproc doe not sustitute module type in instance. #751

Closed
veripoolbot opened this issue Apr 29, 2014 · 3 comments
Closed

Verilog::Preproc doe not sustitute module type in instance. #751

veripoolbot opened this issue Apr 29, 2014 · 3 comments

Comments

@veripoolbot
Copy link
Collaborator


Author Name: Tim Jordan
Original Redmine Issue: 751 from https://www.veripool.org
Original Date: 2014-04-29


Here's in:
`define LOWER lower

`define IF_UPB_BUS_REV if_upb_bus_v2c0

module #�
(
output logic o,
input logic i
);

`IF_UPB_BUS_REV.slave bus;

`LOWER ( .o, .i );

ifdef UPPER UPPER ( o, i );
`endif

endmodule:#�

and here's out:
[jordant@helium #�] % ./#�.pl #�.sv
ticDefine : 'LOWER' 'lower' ''
ticDefine : 'IF_UPB_BUS_REV' 'if_upb_bus_v2c0' ''
`line 1 "#�.sv" 1

module #�
(
output logic o,
input logic i
);

`IF_UPB_BUS_REV.slave bus;

`LOWER ( .o, .i );

endmodule:#�

`line 21 "#�.sv" 2

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2014-04-29T20:34:54Z


Obviously the preprocessor doesn't care about modules or not, so it's extremely unlikely to be a bug in Verilog-Perl. Please check your script carefully; you didn't provide #�.pl; perhaps it is not actually defining anything since you must be overloading something to have it print "ticDefine".

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Tim Jordan
Original Date: 2014-04-29T22:22:39Z


Found the problem. My bad.
Thank you for your support.

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2014-04-29T22:27:51Z


Great!

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant