Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Verilator uses undeclared helper function for power op > 64 bits #761

Closed
veripoolbot opened this issue May 5, 2014 · 3 comments
Closed
Assignees
Labels
resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800

Comments

@veripoolbot
Copy link
Contributor


Author Name: Clifford Wolf (@cliffordwolf)
Original Redmine Issue: 761 from https://www.veripool.org

Original Assignee: Wilson Snyder (@wsnyder)


Verilator 621c515 creates code that uses the undeclared function VL_POW_WWI:

  input [3:0] a;
  output [3:0] y;
  assign y = 65'd2 ** a;
endmodule

The gcc error message is:

Vissue_040.cpp:83:63: error: ‘VL_POW_WWI’ was not declared in this scope
      VL_POW_WWI(65,65,4, __Vtemp3, __Vtemp2, (IData)(vlTOPp->a));
@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2014-05-10T12:26:33Z


VCS at least does not support this, so it must not be common in the wild so I won't support it either.

If someone wants to write and test the appropriate math functions I'll take a patch, until then I added an Unsupported error message.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2017-06-06T00:30:05Z


Fixed in git towards 3.905.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2017-06-22T22:38:01Z


In 3.906.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800
Projects
None yet
Development

No branches or pull requests

2 participants