Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

DETECTARRAY error on packed array #770

Closed
veripoolbot opened this issue May 16, 2014 · 4 comments
Closed

DETECTARRAY error on packed array #770

veripoolbot opened this issue May 16, 2014 · 4 comments
Labels
resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Jie Xu (@jiexu)
Original Redmine Issue: 770 from https://www.veripool.org
Original Date: 2014-05-16
Original Assignee: Jie Xu (@jiexu)


If an packed array signal is made circular, then the V3Changed.cpp will report a DETECTARRAY error.

For example:

logic a, b;
logic [8:0][8:0] c;  // where the error is reported

// following just to make c as circular
always @(a,b)
begin
  c[0] = c[0] | (a << 1);
  c[0] = c[0] | (b << 2);
end

An tentative patch is attached, please have a look. BTW, probably should have a test case in regress_test for all the possbilities (e.g. @logic [8:0] c [8:0]@) here.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2014-05-17T12:14:24Z


Thanks for the patch, looks good. Fixed in git.

If you could to give a patch to a test or new test, that would be helpful, too.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Jie Xu (@jiexu)
Original Date: 2014-06-06T11:43:56Z


Didn't see the patch is applied in git.

But now you can just pull it from https://github.com/jiexu/verilator/tree/detectPacked, there is a test case added as well.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2014-06-07T13:53:55Z


Sorry, forgot to merge the branch, it's in master now - and thanks for the test.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2014-06-11T00:58:54Z


In 3.862.

@veripoolbot veripoolbot added the resolution: fixed Closed; fixed label Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

1 participant