Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Another Verilator Internal Error for shift by undef value #772

Closed
veripoolbot opened this issue May 23, 2014 · 2 comments
Closed

Another Verilator Internal Error for shift by undef value #772

veripoolbot opened this issue May 23, 2014 · 2 comments
Assignees
Labels
area: wrong runtime result Issue involves an incorrect runtine result from Verilated model resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Clifford Wolf (@cliffordwolf)
Original Redmine Issue: 772 from https://www.veripool.org
Original Date: 2014-05-23
Original Assignee: Wilson Snyder (@wsnyder)


Verilator 06744b6 creates the following error:

  input [3:0] a;
  output [3:0] y;
  assign y = a << 1 <<< 0/0;
endmodule
@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2014-05-24T12:01:24Z


Fixed in git towards 3.861.

Note the result in verilator may not be 'x as it isn't four-state.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2014-06-11T00:57:53Z


In 3.862.

@veripoolbot veripoolbot added area: wrong runtime result Issue involves an incorrect runtine result from Verilated model resolution: fixed Closed; fixed labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: wrong runtime result Issue involves an incorrect runtine result from Verilated model resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

2 participants