Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Verilog::Netlist errors on modport after old sytle / non-ANSI portlist #777

Closed
veripoolbot opened this issue May 27, 2014 · 2 comments
Closed
Assignees

Comments

@veripoolbot
Copy link
Collaborator


Author Name: Joe D
Original Redmine Issue: 777 from https://www.veripool.org

Original Assignee: Wilson Snyder (@wsnyder)


Declaring my module as below causes the tool to fail with the following error:
%Error: syntax error, unexpected '.', expecting '('

module myMod(clk, foo_if);
input clk;
my_interface.mp_a foo_if; // <-- error parsing this line
...
endmodule;

I readily see this example parsing a file with vhier. ANSI-style declarations of the equivalent code seem to work fine.

Thanks!

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2014-05-31T13:53:25Z


Partially fixed in git towards 3.404:

my_interface.mp_a foo_if ();

now works. Verilog-Perl presently requires the parenthesis in non-ANSI interfaces so it can disambiguate it from a variable declaration. Fixing the non-parenthesis version will be a major rework I unfortunately can't undertake at the moment.

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2017-09-08T01:24:44Z


Closing due to age of bug, partially fixed earlier - see comments.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants