Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Indentation of property is incorrect #782

Closed
veripoolbot opened this issue Jun 6, 2014 · 1 comment
Closed

Indentation of property is incorrect #782

veripoolbot opened this issue Jun 6, 2014 · 1 comment
Labels

Comments

@veripoolbot
Copy link
Collaborator


Author Name: Shareef Jalloq
Original Redmine Issue: 782 from https://www.veripool.org
Original Date: 2014-06-06
Original Assignee: Alex Reed


Hi there,

I'm having a hard time remembering, but I'm fairly sure this hasn't always been a bug so it may have been introduced in the last couple of years. Anyway, the following code snippet shows the issue. The indentation doesn't seem to work for the following property.

Thanks, Shareef.

`ifdef ASSERT_ON

  property pr__coeff_en_x_check;
  @(posedge clk) disable iff (!reset_n)
     !$isunknown(coeff_en);
endproperty

  ax__coeff_en_x_check : assert property (pr__coeff_en_x_check);

`endif

It should look like:

`ifdef ASSERT_ON

  property pr__coeff_en_x_check;
     @(posedge clk) disable iff (!reset_n)
       !$isunknown(coeff_en);
  endproperty

  ax__coeff_en_x_check : assert property (pr__coeff_en_x_check);

`endif

Actually, after a sudden flash of inspiration, it's the `ifdef causing the issue. If I remove this then the indentation is correct.

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Alex Reed
Original Date: 2014-11-06T20:17:31Z


Resolved with 8db6330

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
Projects
None yet
Development

No branches or pull requests

1 participant