Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Old version problem #801

Closed
veripoolbot opened this issue Jul 9, 2014 · 2 comments
Closed

Old version problem #801

veripoolbot opened this issue Jul 9, 2014 · 2 comments

Comments

@veripoolbot
Copy link
Collaborator


Author Name: Am A
Original Redmine Issue: 801 from https://www.veripool.org
Original Date: 2014-07-09


The Verilog-Perl tool processes the attached file with the following errors:

quote_zero.sv:14:Unknown symbol, ignoring to eol: '0;
quote_zero.sv:15:Unknown symbol, ignoring to eol: '1;

I tried this out in QuestaSim, and it's building there.

Thanks!

Here's a copy of the file for reference, if it's helpful:

module quote_zero
(
     input logic i_clock,
     input logic i_reset,

     output logic [9:0] o_flag_a,
     output logic [9:0] o_flag_b
);

always_ff @(posedge i_clock)
begin
     if (i_reset)
     begin
         o_flag_a <= '0; 
         o_flag_b <= '1; 
     end 
     else
     begin
         o_flag_a <= o_flag_a + 1;
         o_flag_b <= o_flag_b - 1;
     end 
end

endmodule

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2014-07-09T12:06:41Z


There is support for all of system verilog so '0 should work fine. I run your example "vhier --cells quote_zero.sv" and it works, so I'm not seeing what is wrong. Does this work for you? Are you sure you're running the latest version (although this should have been working for years)?

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Am A
Original Date: 2014-07-10T00:29:35Z


My apologies, you're absolutely correct. I had an issue with an old version of the Verilog::Language library being referenced unexpectedly. This works correctly on the newest version.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant