Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

ommiting parameter keyword #810

Closed
veripoolbot opened this issue Aug 25, 2014 · 4 comments
Closed

ommiting parameter keyword #810

veripoolbot opened this issue Aug 25, 2014 · 4 comments
Assignees
Labels
area: parser Issue involves SystemVerilog parsing resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Iztok Jeras (@jeras)
Original Redmine Issue: 810 from https://www.veripool.org
Original Date: 2014-08-25
Original Assignee: Wilson Snyder (@wsnyder)


In the SystemVerilog language it is possible to ommit the parameter keyword in parameter lists. See section "6.20.1 Parameter declaration syntax" from the 1800-2012 standard. This feature is not yet supported by Verilator.

Regards,
Iztok Jeras

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2014-08-25T19:55:41Z


Please post an example, this should already work, e.g. "module #(P=1) (input a); endmodule"

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Iztok Jeras (@jeras)
Original Date: 2014-08-26T22:05:50Z


Hi Wilson,

The parameters were sized, here are a few examples, but I am not able to test this right this week:

module #(
int unsigned DATA_WIDTH = 32,
logic [DW-1:0] DEFAULT = '0,
bit REGISTERED = 1'b1
)(
input a
);
endmodule

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2014-08-27T11:58:25Z


Ah, thanks I understand now.

Fixed in git towards 3.864.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2014-09-21T13:11:12Z


In 3.864.

@veripoolbot veripoolbot added area: parser Issue involves SystemVerilog parsing resolution: fixed Closed; fixed labels Dec 22, 2019
tgorochowik added a commit to antmicro/verilator that referenced this issue Feb 29, 2024
…t-bash

Fix hardcoded path to /bin/bash -> /usr/bin/env bash
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: parser Issue involves SystemVerilog parsing resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

2 participants