Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Wrong alignment on 'virtual' keyword #817

Closed
veripoolbot opened this issue Sep 5, 2014 · 4 comments
Closed

Wrong alignment on 'virtual' keyword #817

veripoolbot opened this issue Sep 5, 2014 · 4 comments
Labels

Comments

@veripoolbot
Copy link
Collaborator


Author Name: Enzo Chi
Original Redmine Issue: 817 from https://www.veripool.org
Original Date: 2014-09-05


I have 1 virtual interface and 1 virtual function declaration in my code are aligned as below:

class base_test extends uvm_test;
    `uvm_component_utils(base_test)

    typedef virtual my_if my_vif_t;

    virtual         function void start_of_simulation_phase(uvm_phase phase);
       super.start_of_simulation_phase(phase);
    endfunction : start_of_simulation_phase
endclass : base_test

You can see the 'function' keyword is aligned with my interface name. What I expect is:


class base_test extends uvm_test;
    `uvm_component_utils(base_test)

    typedef virtual my_if my_vif_t;

    virtual function void start_of_simulation_phase(uvm_phase phase);
       super.start_of_simulation_phase(phase);
    endfunction : start_of_simulation_phase
endclass : base_test


@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Kaushal Modi
Original Date: 2015-06-22T17:14:48Z


I also see this issue.

To add more information, this happens only if @verilog-auto-lineup@ variable is set to a non-nil option, like @'declarations@.

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Kaushal Modi
Original Date: 2015-06-22T19:13:11Z


I have updated my fork with a fix for this: kaushalmodi@d2ce9f3

Please let me know if it works for you. (The fix will not correct the prior incorrectly indented code.)

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Kaushal Modi
Original Date: 2015-07-20T13:18:00Z


The above fix for @virtual@ keyword misalignment has worked great for me till date.

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Alex Reed
Original Date: 2015-07-21T02:30:16Z


Merged into 5939690

Thanks for the patch!

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
Projects
None yet
Development

No branches or pull requests

1 participant