Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Checking multi-dimension array boundaries #875

Closed
veripoolbot opened this issue Jan 22, 2015 · 2 comments
Closed

Checking multi-dimension array boundaries #875

veripoolbot opened this issue Jan 22, 2015 · 2 comments
Assignees
Labels
area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Cong Van Nguyen
Original Redmine Issue: 875 from https://www.veripool.org
Original Date: 2015-01-22
Original Assignee: Wilson Snyder (@wsnyder)


Hi,

Verilator 3.868 fails to detect an array boundary error in the attached code.

Kind regards,

Cong-Van

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2015-01-25T21:34:21Z


Fixed, however note the bound checking code is fairly primitive, only recognizing certain cases with constant indices.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2015-02-13T01:40:34Z


In 3.870.

@veripoolbot veripoolbot added area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

2 participants