Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

System Verilog Concurrent Assertion with Label Not Parsed Correctly #9

Closed
veripoolbot opened this issue May 21, 2008 · 3 comments
Closed
Assignees

Comments

@veripoolbot
Copy link
Collaborator


Author Name: Sean de la Haye (@seandlh)
Original Redmine Issue: 9 from https://www.veripool.org
Original Date: 2008-05-21
Original Assignee: Wilson Snyder (@wsnyder)


This code is not parsed correctly...
module assertion_error;
     wire clk;
     wire rst_n;
     reg a;
     reg b;    
     sample_assertion: assert property (
         @(posedge clk)
             disable iff (!rst_n)
                 (a !== b)
     );
endmodule

I receive this error:
%Error: assertion_error.sv:6: syntax error, unexpected ':', expecting '('
Exiting due to errors

I'm using version 3.035 of Verilog-Perl on a RedHat Opteron machine. 

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2008-06-04T16:36:40Z


This isn't proving easy, because there are other SystemVerilog assertion parse issues that haven't been added yet. It will take a while to get all of this in and working; if you'd like to help out drop me a line.

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2009-04-07T10:34:58Z


This is fixed in the beta candidate in GIT. It will be in 3.200.

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2009-04-15T15:36:02Z


In 3.200.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants