Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Cryptic error message #90

Closed
veripoolbot opened this issue May 24, 2009 · 3 comments
Closed

Cryptic error message #90

veripoolbot opened this issue May 24, 2009 · 3 comments
Assignees
Labels
area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Andreas Olofsson
Original Redmine Issue: 90 from https://www.veripool.org
Original Date: 2009-05-24
Original Assignee: Wilson Snyder (@wsnyder)


The verilator fails with a rather non-descript message on the verilog error below. Admittedly it was my stupid mistake, but it would be helpful if verilator would flag the location of this error. This was done with v703

Only Error message:
%Error: Command Failed verilator_bin --sp --x-assign 1 --prefix Vtop -Wno-WIDTH --top-module top --stats -O3 --noassert

Thanks,

Andreas

//Varibales
integer i,j;   

//Masks
reg  [ARW-1:0]   priority_mask;//priority mask

//Request Vectors
wire [ARW-1:0]   muxed_requests;

/////////////////////
//PRIORITY ENCODER
always @*
begin
for(i=ARW-1;i>0;i=i-1)
begin
priority_mask[i]=1'b0;
for(j=j;j>=0;j=j-1)
priority_mask[i]=priority_mask[j] | muxed_requests[j];
end
//Bit zero is always enabled
priority_mask[0]=1'b0;
end

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2009-05-28T00:33:05Z


I can reproduce this. thanks for the testcase, I'll fix it next week.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2009-06-08T20:00:52Z


Fixed in git version for next release.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2009-06-23T23:06:30Z


In 3.711.

@veripoolbot veripoolbot added area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

2 participants