Navigation Menu

Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

SystemVerilog class auto formal declaration line up #921

Closed
veripoolbot opened this issue May 15, 2015 · 2 comments
Closed

SystemVerilog class auto formal declaration line up #921

veripoolbot opened this issue May 15, 2015 · 2 comments

Comments

@veripoolbot
Copy link
Collaborator


Author Name: Monte Becker
Original Redmine Issue: 921 from https://www.veripool.org


Hi there:

I like to indent my class function and task formal declaration consistently like this:

task do_good_work ( bit        variable1,
                     bit [19:0] variable2,
                     footype    variable3 );

Notice that variable* are stacked. Is there support in VerilogMode for that? Thanks in advance,

Monte

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2015-05-15T13:55:01Z


Added pre's.

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2017-11-19T13:25:11Z


This should fall out if #� is fixed.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Projects
None yet
Development

No branches or pull requests

1 participant