Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

MinGW build is failed on Verilator 3.874 Released #936

Closed
veripoolbot opened this issue Jun 24, 2015 · 1 comment
Closed

MinGW build is failed on Verilator 3.874 Released #936

veripoolbot opened this issue Jun 24, 2015 · 1 comment
Labels
area: configure/compiling Issue involves configuring or compilating Verilator itself resolution: duplicate Closed; issue or pull request already exists

Comments

@veripoolbot
Copy link
Contributor


Author Name: HyungKi Jeong
Original Redmine Issue: 936 from https://www.veripool.org
Original Date: 2015-06-24


Build on MinGW is not allowed because the codes below. (verilatedos.h)

#ifdef _WIN32
1. define VL_VSNPRINTF vl_vsnprintf
inline int vl_vsnprintf(char* str, size_t size, const char* format, va_list ap) {
     int count = -1;
     if (size != 0) {
         count = _vsnprintf_s(str, size, _TRUNCATE, format, ap);
     }
     if (count == -1) {
         count = _vscprintf(format, ap);
     }
     return count;
}
#else
1. define VL_VSNPRINTF vsnprintf
#endif

So I fixed like this temporarily.

1. define VL_VSNPRINTF vsnprintf

Please check this again :)

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2015-06-24T20:12:57Z


This should already be fixed in the git version, please give it a try, thanks.

@veripoolbot veripoolbot added area: configure/compiling Issue involves configuring or compilating Verilator itself resolution: duplicate Closed; issue or pull request already exists labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: configure/compiling Issue involves configuring or compilating Verilator itself resolution: duplicate Closed; issue or pull request already exists
Projects
None yet
Development

No branches or pull requests

1 participant