Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Restart alignment after empty or comments lines #960

Closed
veripoolbot opened this issue Aug 19, 2015 · 1 comment · Fixed by #1800
Closed

Restart alignment after empty or comments lines #960

veripoolbot opened this issue Aug 19, 2015 · 1 comment · Fixed by #1800
Labels

Comments

@veripoolbot
Copy link
Collaborator


Author Name: Enzo Chi
Original Redmine Issue: 960 from https://www.veripool.org


Currently the verilog-mode would try to align the signal name in the declaration with the port name as below:

     module img_cnt(
                    logic clk,
                    logic rst,
                    logic frame_vld,
                    logic line_vld,
                    logic data_vld
                    );
     
        // Expect to restart the alignment from here
        int unsigned      frame_cnt = 0;
        int unsigned      line_cnt = 0;
        int unsigned      data_cnt = 0;
     

But I think the declaration should has its own alignment (aligned '=' as bonus).

     module img_cnt(
                    logic clk,
                    logic rst,
                    logic frame_vld,
                    logic line_vld,
                    logic data_vld
                    );

        // Expect to restart the alignment from here
        int unsigned frame_cnt = 0;
        int unsigned line_cnt  = 0;
        int unsigned data_cnt  = 0;


So is it possible to add some variables to control restart the alignment after empty lines or comment lines?

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2017-11-19T13:27:53Z


Still a problem, perhaps someone would like to contribute a patch?

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
Projects
None yet
Development

Successfully merging a pull request may close this issue.

1 participant