Activity
From 09/20/2018 to 10/19/2018
10/19/2018
- 12:03 AM Issue #1362 (NoFixNeeded): How do I read cover-user information
- Perhaps you forgot to give the --annotate option? At present output is always ASCII. Patches for improving to add e...
10/18/2018
- 11:37 PM Issue #1362: How do I read cover-user information
- Thank you so much for pointing me to this example - that solved the generation issue!
I can now generate coverage bo... - 03:09 AM Issue #1362 (AskedReporter): How do I read cover-user information
- Perhaps you are missing the save of the coverage data? See the examples/tracing_c files it does coverage.
10/17/2018
- 11:22 PM Issue #1362 (NoFixNeeded): How do I read cover-user information
- As part of measuring coverage and validation quality, I have now successfully added SVA cover points and an SVA bind ...
10/15/2018
- 08:23 PM Issue #24: synopsys translate_off and synopsys translate_on
- Ok. Note also verilog-perl can automatically convert translate comments to ifdefs.
- 07:19 PM Issue #24: synopsys translate_off and synopsys translate_on
- Wilson Snyder wrote:
> Absolutely not for religious reasons. translate_offs are horrors and I'm not going to encour...
10/12/2018
- 11:16 PM Issue #1361 (Resolved): Perl wrappers on windows [Patch included]
- Thanks for figuring out the fix. Pushed to git towards 4.006.
- 03:54 PM Issue #1361 (Closed): Perl wrappers on windows [Patch included]
- On windows the executables have a .exe extension, which confuses the search function in the perl wrapper, which stops...
10/11/2018
- 07:53 AM Issue #1360 (Closed): Adding support for passing by reference
- Verilator currently doesn't support passing variables by reference and results in:
[UNKNOWN]: Unsupported: SystemV...
10/08/2018
- 10:38 PM Issue #1358: Improve FST support to show enums
- What you indicated should work as an input, if it doesn't please attach an example. (And make sure building GTKWave ...
- 03:19 PM Issue #1358: Improve FST support to show enums
- Wilson Snyder wrote:
> GTKWave 3.3.95 just added support for enums in FSTs. Fixed Verilator to dump them with --tra... - 11:24 AM Issue #1358 (Resolved): Improve FST support to show enums
- GTKWave 3.3.95 just added support for enums in FSTs. Fixed Verilator to dump them with --trace-fst in git towards 4....
- 11:26 AM Issue #1302 (NoFixNeeded): Doxygen documentation
- As noted earlier, we welcome patches in documentation, but seems unnecessary to leave a bug open for a long time on t...
- 02:03 AM Issue #1359: WaveJSON tracing support
- Thanks for suggesting it though. BTW WaveDrom is a great tool for documentation, just not suited to waveform simulat...
- 02:01 AM Issue #1359: WaveJSON tracing support
- I see. Thank you for the prompt response!
- 01:56 AM Issue #1359 (WillNotFix): WaveJSON tracing support
- Unless I'm missing something that format isn't appropriate for simulator output, the data is "horizontal" by cycle, a...
- 01:23 AM Issue #1359 (WillNotFix): WaveJSON tracing support
- WaveJSON (https://github.com/drom/wavedrom/wiki/WaveJSON) is a waveform file format supported by WaveDrom, a JavaScri...
10/06/2018
- 02:14 PM Issue #1348 (Closed): C++ unordered_set hash/comparator requirements
- In 4.004.
- 02:14 PM Issue #1350 (Closed): Support for immediate restict
- In 4.004.
- 02:13 PM Issue #1356 (Closed): Support FST
- In 4.004, thanks for your contribution.
- 02:13 PM Verilator 4.004 Released
- Verilator 4.004 2018-10-6
** Add GTKWave FST native tracing, bug1356. [Sergi Granell]
(Verilator develop...
10/05/2018
- 11:53 AM Issue #1353: Convergence failures, how to debug
- I had hundreds of UNOPTFLAT but they were all paths from one part of a vector to another rather than genuine combinat...
- 10:46 AM Issue #1353: Convergence failures, how to debug
- Fair point, added more words to the documentation.
Unfortunately verilator at this stage doesn't have any knowledg... - 10:33 AM Issue #1353: Convergence failures, how to debug
- I'm not sure whether it was a bug report or not. It was basically an observation that the FAQ made it
sound like the... - 12:31 AM Issue #1353 (NoFixNeeded): Convergence failures, how to debug
- This seemed a request for assistance which was provided earlier, if I misread it and something needs fixing please re...
- 10:22 AM Issue #1329 (NoFixNeeded): Compile error "expected unqualified-id before numeric constant"
- 01:26 AM Issue #1329: Compile error "expected unqualified-id before numeric constant"
- Please close. I copied a Linux redhat build over to Suse and it worked.
- 12:58 AM Issue #1329: Compile error "expected unqualified-id before numeric constant"
- Did you have any luck debugging this, or working around it?
- 05:46 AM Issue #1358: Improve FST support to show enums
- Wilson Snyder wrote:
> Type of variables is now included. Done for now.
>
> As to enums, keeping this bug open a... - 12:25 AM Issue #1358 (Feature): Improve FST support to show enums
- Type of variables is now included. Done for now.
As to enums, keeping this bug open and on hold until GTKwave ups... - 01:03 AM Issue #1296 (NoFixNeeded): SystemVerilog logic array inside struct should warn on bad index
- Wasn't a bug, forgot to close earlier.
- 01:01 AM Issue #1292 (Feature): scr1 test suite: |-> and |=> operators are unsupported in assertions
- 12:28 AM Issue #1292: scr1 test suite: |-> and |=> operators are unsupported in assertions
- Note git master now supports $past() which may help getting support for some of these.
- 12:54 AM Issue #1355: Multi-thread example: --threads 1 (compile error)
- Mutex is already included on line 42 of verilated.h, so I don't understand the GCC error.
Also gcc 8.2.0 works for... - 12:29 AM Issue #1328 (NoFixNeeded): Parameter with type string cause compile error when passed to $readmem...
- Didn't hear back so assuming fixed, reopen and attach a test case if fails on latest release.
10/04/2018
- 02:42 PM Issue #1358: Improve FST support to show enums
- Wilson Snyder wrote:
> First step, input/output/inout indications should now work.
Thanks, I've tested it and it ... - 03:52 AM Issue #1355: Multi-thread example: --threads 1 (compile error)
- Hi. With gcc 8 the error was:...
10/03/2018
- 11:51 PM Issue #1358: Improve FST support to show enums
- First step, input/output/inout indications should now work.
- 04:51 PM Issue #1358: Improve FST support to show enums
- Wilson Snyder wrote:
> Ok, for signals, I propose I'll make the decl calls pass the right information. Can you then... - 04:42 PM Issue #1358: Improve FST support to show enums
- Ok, for signals, I propose I'll make the decl calls pass the right information. Can you then hook them up to the FST...
- 04:28 PM Issue #1358: Improve FST support to show enums
- Wilson Snyder wrote:
> Do you want to try to fix the guts of Verilator to put out the types etc, or should I when I ... - 03:51 PM Issue #1358: Improve FST support to show enums
- Do you want to try to fix the guts of Verilator to put out the types etc, or should I when I get time? (Meantime you...
- 02:05 PM Issue #1358: Improve FST support to show enums
- The tricky part is, of course, that this code has to be generated and that the full hierarchy must also be available ...
- 02:02 PM Issue #1358 (Closed): Improve FST support to show enums
- Currently, the FST we generate is very limited (basically wires and one kind of scope). As FST actually supports Syst...
- 02:57 PM Issue #1355: Multi-thread example: --threads 1 (compile error)
- Sorry the delay. Yes, I am using gcc 7.
I am trying to compile gcc 8 and check. I will report later.
I don't need...
10/02/2018
- 10:48 PM Issue #1333: Support LXT2 file format natively
- Note based on your LXT2 code/changes we just committed adding FST format to git, please give it a try, if there are i...
- 10:44 PM Issue #1356 (Resolved): Support FST
- Great, pushed to git plus a few minor changes that GTKwave updated.
If you're ready to start on more advanced trac... - 04:02 PM Issue #1356: Support FST
- Wilson Snyder wrote:
> The declarations are triggered by the "m_initcb" callback execution. Presently this is called... - 10:35 AM Issue #1356: Support FST
- The declarations are triggered by the "m_initcb" callback execution. Presently this is called in a loop in VerilatedF...
- 09:39 AM Issue #1356: Support FST
- Wilson Snyder wrote:
> FYI I moved include/lxt2 to include/gtkwave, please pull.
Thanks, pulled!
Btw as I said...
10/01/2018
- 10:30 PM Issue #1356: Support FST
- FYI I moved include/lxt2 to include/gtkwave, please pull.
- 03:46 PM Issue #1356: Support FST
- Wilson Snyder wrote:
> They should be in-order. Also I'm thinking we should rename include/fst and include/lxt2 to ... - 03:07 PM Issue #1356: Support FST
- They should be in-order. Also I'm thinking we should rename include/fst and include/lxt2 to just include/gtkwave, as...
- 02:55 PM Issue #1356: Support FST
- Wilson Snyder wrote:
> Great progress, almost there. Does gtkwave provide a diff tool? That would be perfect if so.... - 10:24 AM Issue #1356: Support FST
- Great progress, almost there. Does gtkwave provide a diff tool? That would be perfect if so.
- 07:08 AM Issue #1356: Support FST
- I've fixed all the errors, now it compiles fine.
Now when I run 'make && test_regress/t/t_trace_fst.pl --vlt' I ge... - 06:10 AM Issue #1356: Support FST
- Wilson Snyder wrote:
> Yes, please fix the casts and don't turn off new warnings, nor use non-g++.
There are tons...
09/30/2018
- 11:13 PM Issue #1356: Support FST
- Yes, please fix the casts and don't turn off new warnings, nor use non-g++.
- 10:37 PM Issue #1356: Support FST
- Wilson Snyder wrote:
> Sorry, to test
>
> make && test_regress/t/t_trace_fst.pl --vlt
>
> Going forward can ... - 09:35 PM Issue #1356: Support FST
- I did push the LTX2 typo fix, thanks. So do a "git pull" before the diff.
- 09:33 PM Issue #1356: Support FST
- Sorry, to test
make && test_regress/t/t_trace_fst.pl --vlt
Going forward can you please send just a combined ... - 09:26 PM Issue #1356: Support FST
- Wilson Snyder wrote:
> Type
>
> test_regress/t/t_trace_fst.pl
>
> Or safer, in case you changed something ... - 09:02 PM Issue #1356: Support FST
- Also you should add to your ~/.bashrc or equivalent
export VERILATOR_AUTHOR_SITE=1
Then rerun ./configure. ... - 08:50 PM Issue #1356: Support FST
- Type
test_regress/t/t_trace_fst.pl
Or safer, in case you changed something in the sources,
make && t... - 08:05 PM Issue #1356: Support FST
- Wilson Snyder wrote:
> Please add the following patch to your set and get the test(s) to pass (test_regress/t/t_trac... - 01:59 PM Issue #1356: Support FST
- I've playing around with the fstapi and it's quite useful, for example:...
- 01:58 PM Issue #1356: Support FST
- Please add the following patch to your set and get the test(s) to pass (test_regress/t/t_trace_fst.pl)
The calls i... - 12:18 PM Issue #1356: Support FST
- I have ported all the supported types of the LXT2 backend to FST and fixed signal aliases since the latest patch.
I ... - 11:58 AM Issue #1356: Support FST
- Tony Bybell, the author of GTKwave has indicated he's receptive to improvements. Once we have support in Verilator w...
- 11:38 AM Issue #1356: Support FST
- I tried to compile pa packed struct example in Icarus Verilog (10.1 included in Ubuntu 18.04), but I only got wires a...
- 10:03 AM Issue #1356: Support FST
- Our messages crossed, I see the new files now, seem ok once you obviously extend out the commented code. Please add ...
- 09:55 AM Issue #1356: Support FST
- Excellent! Your patch didn't show the new fst files (I suspect you forgot to "git add" them), can you attach a new p...
- 09:53 AM Issue #1356: Support FST
- Here's the initial FST patch, it's just a Proof of concept for now.
All the include/fst were copied from GTKWave's... - 08:40 AM Issue #1356: Support FST
- Update: I've managed to get a very basic valid FST dump (only dumps bit variables)!
Since the FST API supports nat... - 07:17 AM Issue #1356: Support FST
- Thanks, I followed the LXT2 patch and now I have the basic infrastructure for FST setup. Now it's time to use the fst...
- 10:30 AM Issue #1355 (AskedReporter): Multi-thread example: --threads 1 (compile error)
- 10:29 AM Issue #1355: Multi-thread example: --threads 1 (compile error)
- Googling "undefined reference to `TLS init function" says this is a gcc bug. What version of GCC are you using?
g...
09/29/2018
- 10:10 PM Issue #1356: Support FST
- Also see how the LXT2 stuff was added
git show acf4a3fa998875d832fd8b0660941b3d31f76bcc
- 10:07 PM Issue #1356 (Feature): Support FST
- Rather than using the shared library are there .h/.cpp files that can be compiled in just as with FXT2?
If not, th... - 09:50 PM Issue #1356: Support FST
- I'm trying to link with libfst.a from GTKWave but autotools is too complicated for me.
Steps I've tried:
# Copy g... - 08:22 PM Issue #1356 (Closed): Support FST
- It seems like this dump format supported by GTKWave would allow to include struct member names and enum names to the ...
09/28/2018
- 06:47 PM Issue #1355 (NotEnoughInfo): Multi-thread example: --threads 1 (compile error)
- Hello.
Is there a multi-thread example? If I just enable --threads 1 I got a compile error which I cannot go throu...
09/27/2018
- 04:53 PM Issue #1353 (AskedReporter): Convergence failures, how to debug
- Sorry, this isn't usually a problem and when it is it usually is "obvious" from the signal involved what is the probl...
- 12:52 PM Issue #1353 (NoFixNeeded): Convergence failures, how to debug
- I'm using 4.002 with a design that has simulated successfully with other compilers.
It is failing with "model didn't... - 04:34 PM Issue #1351 (Feature): Support for loading stimulus from VCD file
09/25/2018
- 07:08 PM Issue #1352 (WillNotFix): Do you have plan to use CMake to replace current autoconf?
- Unlikely, as there are many many alternative make systems, and think the old traditional system is the best for porta...
- 11:05 AM Issue #1352 (WillNotFix): Do you have plan to use CMake to replace current autoconf?
- Do you have plan to use CMake to replace current autoconf build script? And pre-compile the CPP files into library?
09/24/2018
- 02:54 PM Issue #1315: Using an array in a function called from a parameter
- Had the same issue too.
- 08:23 AM Issue #1350: Support for immediate restict
- Wilson Snyder wrote:
> Also note this version will add $past.
Awesome! Thanks.
09/23/2018
- 07:44 PM Issue #1349 (NoFixNeeded): Cygwin verilator_coverage fails due to cygwin bug in getline()
- Glad it is working now.
- 07:20 PM Issue #1350 (Resolved): Support for immediate restict
- Straightforward enough.
Pushed to git towards 4.004.
Also note this version will add $past.
- 07:46 AM Issue #1350 (Closed): Support for immediate restict
- Verilator has support for immediate assert and assume. Immediate restrict statements should simply be parsed identica...
- 07:50 AM Issue #1351 (WillNotFix): Support for loading stimulus from VCD file
- A feature that allows loading a stimulus from a VCD file would be great. One application I am interested in, and coul...
09/20/2018
- 06:44 AM Issue #1349: Cygwin verilator_coverage fails due to cygwin bug in getline()
- Thanks, Wilson. 4.002 is working fine on my CentOS 7.3 running inside Oracle VM VirtualBox so I'll just verilate the...
- 06:05 AM Issue #1349: Cygwin verilator_coverage fails due to cygwin bug in getline()
- Try recompiling with -O0 or updating your GCC package, this seems a GCC/Cygwin bug.
https://www.mail-archive.com/c... - 05:54 AM Issue #1349: Cygwin verilator_coverage fails due to cygwin bug in getline()
- Here's the output from gdb (I apologize, I'm not an expert on Cygwin and gdb in general...):...
Also available in: Atom