Skip to content

Issues: verilator/verilator

FAQ: How to register for announcements
#4298 opened Jun 17, 2023 by wsnyder
Open
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Label
Filter by label
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Milestones
Filter by milestone
Assignee
Filter by who’s assigned
Sort

Issues list

Fix false multidriven warning on unpacked structures area: lint Issue involves SystemVerilog lint checking status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4226 opened May 24, 2023 by Risto97
Support export DPI calls with timing controls area: vpi/dpi/api Issue involves VPI, DPI, or verilated.h interface API effort: weeks Expect this issue to require weeks or more of invested effort to resolve status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4225 opened May 24, 2023 by udif
Fix LATCH warning on static function return values in always_comb blocks area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed
#4221 opened May 24, 2023 by adrienlemasle
Support typed constructor calls status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4199 opened May 13, 2023 by ezchi
Incorrect release of forced assignment area: wrong runtime result Issue involves an incorrect runtine result from Verilated model status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4190 opened May 11, 2023 by kbieganski
Config file for lint_off/on - selecting rules area: lint Issue involves SystemVerilog lint checking status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4185 opened May 10, 2023 by svenka3
Support for Immediate assert area: assertions Issue involves assertions status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4184 opened May 9, 2023 by phelter
Segfault / Broken link when enum parameter is implicitly cast to integer status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4181 opened May 9, 2023 by TimK-22
Multidriven warning with both drivers being the same line status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4180 opened May 9, 2023 by TimK-22
Support nested classes status: assigned Issue is assigned to someone to work on type: feature-IEEE Request to add new feature, described in IEEE 1800
#4178 opened May 7, 2023 by tudortimi
Fix wire unpacked array to slice convert to wider unpacked array status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4090 opened Apr 7, 2023 by yurivict
Select from non-array BASICDTYPE 'bit' status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4083 opened Apr 4, 2023 by davidel
Static error over sensitive area: elaboration Issue involves elaboration phase
#4077 opened Apr 1, 2023 by wsnyder
Internal error in static function if a loop variable is initialized in the loop status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4074 opened Mar 31, 2023 by goekce
CMake verilate function, move execution of verilator to build phase from configure phase area: configure/compiling Issue involves configuring or compilating Verilator itself status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4068 opened Mar 25, 2023 by Risto97
Support checker area: assertions Issue involves assertions status: ready Issue is ready for someone to fix; then goes to 'status: assigned' type: feature-IEEE Request to add new feature, described in IEEE 1800
#4066 opened Mar 24, 2023 by svenka3
MULTIDRIVEN with task and default driver regression? area: lint Issue involves SystemVerilog lint checking status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4045 opened Mar 18, 2023 by em2machine
WIDTH warning from expression inside array access area: lint Issue involves SystemVerilog lint checking status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4041 opened Mar 18, 2023 by leonardt
$monitor - ignore $time changes (LRM compliant) status: ready Issue is ready for someone to fix; then goes to 'status: assigned' type: feature-IEEE Request to add new feature, described in IEEE 1800
#4040 opened Mar 17, 2023 by svenka3
SVA: Controlling vacuous success area: assertions Issue involves assertions status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4038 opened Mar 17, 2023 by svenka3
Variable initialisation wrongly considered as implicit continuous assignment? area: lint Issue involves SystemVerilog lint checking status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4031 opened Mar 16, 2023 by adrienlemasle
SVA: Delay at start of property definition does not compile area: assertions Issue involves assertions status: ready Issue is ready for someone to fix; then goes to 'status: assigned' type: feature-IEEE Request to add new feature, described in IEEE 1800
#4017 opened Mar 11, 2023 by svenka3
SVA: Support for default disable iff area: assertions Issue involves assertions
#4016 opened Mar 11, 2023 by svenka3
Internal error when declaring parametric mailbox instance inside parametric module using type parameter area: elaboration Issue involves elaboration phase status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4013 opened Mar 9, 2023 by botello
Forceable option stopped working when a new variable was added status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4012 opened Mar 9, 2023 by RRozak
ProTip! Mix and match filters to narrow down what you’re looking for.