Skip to content

Issues: verilator/verilator

FAQ: How to register for announcements
#4298 opened Jun 17, 2023 by wsnyder
Open
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Label
Filter by label
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Milestones
Filter by milestone
Assignee
Filter by who’s assigned
Sort

Issues list

Unlinked when getting bit width of type defined in parameterized class area: elaboration Issue involves elaboration phase status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4391 opened Jul 27, 2023 by em2machine
BLKANDBLK error on SV queue area: elaboration Issue involves elaboration phase effort: days Expect this issue to require roughly days of invested effort to resolve good first issue Good for newcomers status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4373 opened Jul 19, 2023 by shareefj
$strobe and $monitor fail inside of task and with task output as argument status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4369 opened Jul 17, 2023 by mczyz-antmicro
Fix handling of clocks embedded in SV structs area: scheduling Issue involves scheduling/ordering of events status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4363 opened Jul 13, 2023 by em2machine
Warning message for CASEINCOMPLETE generated in 5.002 does not occur in 5.012 area: lint Issue involves SystemVerilog lint checking status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4357 opened Jul 12, 2023 by calebofearth
Unsupported: Strength specifier on this gate type status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4340 opened Jul 5, 2023 by M0stafaRady
%Error-ASSIGNIN: ... Assigning to input/const variable: status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4334 opened Jul 3, 2023 by ylevhari
SV class vif sampling does not work status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4322 opened Jun 27, 2023 by svenka3
Virtual interface & clocking block leads to internal error status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4315 opened Jun 26, 2023 by svenka3
FAQ: How to register for announcements
#4298 opened Jun 17, 2023 by wsnyder
Some of type-related information seems unclear/misleading status: discussion Issue is waiting for discussions to resolve
#4293 opened Jun 13, 2023 by kboronski-ant
Ongoing Verilator parallelization status: discussion Issue is waiting for discussions to resolve
#4291 opened Jun 13, 2023 by mglb
Support virtual interface parameterization status: ready Issue is ready for someone to fix; then goes to 'status: assigned' type: feature-IEEE Request to add new feature, described in IEEE 1800
#4286 opened Jun 10, 2023 by jeras
Support unpacked struct elaboration parameters area: data-types Issue involves data-types status: ready Issue is ready for someone to fix; then goes to 'status: assigned' type: feature-IEEE Request to add new feature, described in IEEE 1800
#4285 opened Jun 10, 2023 by jeras
How to properly create a working bidir pad for use with verilator. status: discussion Issue is waiting for discussions to resolve
#4278 opened Jun 7, 2023 by phelter
Support ##0 cycle delays area: assertions Issue involves assertions status: ready Issue is ready for someone to fix; then goes to 'status: assigned' type: feature-IEEE Request to add new feature, described in IEEE 1800
#4263 opened Jun 2, 2023 by amykyta3
Native Windows support status: discussion Issue is waiting for discussions to resolve
#4255 opened May 31, 2023 by Timmmm
Non-equivalent library generation status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4242 opened May 28, 2023 by Phantom1003
Why the initial statement of a for loop is not seen outside of the loop? area: wrong runtime result Issue involves an incorrect runtine result from Verilated model good first issue Good for newcomers status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4237 opened May 26, 2023 by vicencb
Fix false multidriven warning on unpacked structures area: lint Issue involves SystemVerilog lint checking status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4226 opened May 24, 2023 by Risto97
Support export DPI calls with timing controls area: vpi/dpi/api Issue involves VPI, DPI, or verilated.h interface API effort: weeks Expect this issue to require weeks or more of invested effort to resolve status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4225 opened May 24, 2023 by udif
Fix LATCH warning on static function return values in always_comb blocks area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed
#4221 opened May 24, 2023 by adrienlemasle
Support typed constructor calls status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4199 opened May 13, 2023 by ezchi
Incorrect release of forced assignment area: wrong runtime result Issue involves an incorrect runtine result from Verilated model status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4190 opened May 11, 2023 by kbieganski
Config file for lint_off/on - selecting rules area: lint Issue involves SystemVerilog lint checking status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4185 opened May 10, 2023 by svenka3
ProTip! Exclude everything labeled bug with -label:bug.