Skip to content

Issues: verilator/verilator

FAQ: How to register for announcements
#4298 opened Jun 17, 2023 by wsnyder
Open
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Label
Filter by label
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Milestones
Filter by milestone
Assignee
Filter by who’s assigned
Sort

Issues list

Support for Immediate assert area: assertions Issue involves assertions status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4184 opened May 9, 2023 by phelter
Segfault / Broken link when enum parameter is implicitly cast to integer status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4181 opened May 9, 2023 by TimK-22
Multidriven warning with both drivers being the same line status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4180 opened May 9, 2023 by TimK-22
Support nested classes status: assigned Issue is assigned to someone to work on type: feature-IEEE Request to add new feature, described in IEEE 1800
#4178 opened May 7, 2023 by tudortimi
Fix wire unpacked array to slice convert to wider unpacked array status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4090 opened Apr 7, 2023 by yurivict
Select from non-array BASICDTYPE 'bit' status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4083 opened Apr 4, 2023 by davidel
Static error over sensitive area: elaboration Issue involves elaboration phase
#4077 opened Apr 1, 2023 by wsnyder
Internal error in static function if a loop variable is initialized in the loop status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4074 opened Mar 31, 2023 by goekce
CMake verilate function, move execution of verilator to build phase from configure phase area: configure/compiling Issue involves configuring or compilating Verilator itself status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4068 opened Mar 25, 2023 by Risto97
Support checker area: assertions Issue involves assertions status: ready Issue is ready for someone to fix; then goes to 'status: assigned' type: feature-IEEE Request to add new feature, described in IEEE 1800
#4066 opened Mar 24, 2023 by svenka3
MULTIDRIVEN with task and default driver regression? area: lint Issue involves SystemVerilog lint checking status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4045 opened Mar 18, 2023 by em2machine
WIDTH warning from expression inside array access area: lint Issue involves SystemVerilog lint checking status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4041 opened Mar 18, 2023 by leonardt
$monitor - ignore $time changes (LRM compliant) status: ready Issue is ready for someone to fix; then goes to 'status: assigned' type: feature-IEEE Request to add new feature, described in IEEE 1800
#4040 opened Mar 17, 2023 by svenka3
SVA: Controlling vacuous success area: assertions Issue involves assertions status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4038 opened Mar 17, 2023 by svenka3
SVA: Delay at start of property definition does not compile area: assertions Issue involves assertions status: ready Issue is ready for someone to fix; then goes to 'status: assigned' type: feature-IEEE Request to add new feature, described in IEEE 1800
#4017 opened Mar 11, 2023 by svenka3
SVA: Support for default disable iff area: assertions Issue involves assertions
#4016 opened Mar 11, 2023 by svenka3
Internal error when declaring parametric mailbox instance inside parametric module using type parameter area: elaboration Issue involves elaboration phase status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4013 opened Mar 9, 2023 by botello
Forceable option stopped working when a new variable was added status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4012 opened Mar 9, 2023 by RRozak
CMake support doesn't work with generated code status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4007 opened Mar 7, 2023 by Timmmm
Verilator version isn't properly updated when using worktrees area: configure/compiling Issue involves configuring or compilating Verilator itself status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4002 opened Mar 3, 2023 by AndrewNolte
Support different pull directions on different bits status: ready Issue is ready for someone to fix; then goes to 'status: assigned' type: feature-IEEE Request to add new feature, described in IEEE 1800
#3988 opened Feb 28, 2023 by algrant-arm
Incrementation and decrementation doubles expression's side-effects status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#3975 opened Feb 22, 2023 by kboronski-ant
Local declaration should hide package wildcard import status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#3958 opened Feb 13, 2023 by algrant-arm
Unexpected behaviour when forceable and public are used in the same project status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#3955 opened Feb 11, 2023 by lucasasselli
ProTip! Updated in the last three days: updated:>2024-03-26.