Skip to content

Issues: verilator/verilator

FAQ: How to register for announcements
#4298 opened Jun 17, 2023 by wsnyder
Open
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Label
Filter by label
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Milestones
Filter by milestone
Assignee
Filter by who’s assigned
Sort

Issues list

Support NBAs to arrays inside loops
#5092 opened May 1, 2024 by gezalore Loading…
Fix missed optimization in V3Delayed
#5089 by gezalore was merged May 1, 2024 Loading…
Remove C-style cast in VPI_HANDLE
#5088 by toddstrader was merged May 1, 2024 Loading…
Support vpiInertialDelay
#5087 opened Apr 30, 2024 by toddstrader Loading…
MacOS x86 compilation failure area: portability Issue involves operating system/compiler portability status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#5086 opened Apr 30, 2024 by neeldugar
assign keyword accepted in always blocks resolution: answered Closed; only applies to questions which were answered
#5085 by norandomtechie was closed Apr 30, 2024
Potential bug in V3Delayed for suspendable/fork status: discussion Issue is waiting for discussions to resolve type: q and a Question and answer about some feature or user question
#5084 opened Apr 29, 2024 by gezalore
Fix $typename return strings to match IEEE status: ready Issue is ready for someone to fix; then goes to 'status: assigned' type: feature-IEEE Request to add new feature, described in IEEE 1800
#5083 opened Apr 29, 2024 by AndrewNolte
Tests for $typename (#5083)
#5082 opened Apr 29, 2024 by AndrewNolte Loading…
Deferred assertions have the same behavior as the immediate assertion area: assertions Issue involves assertions status: asked reporter Bug is waiting for reporter to answer a question
#5081 opened Apr 29, 2024 by goekce
A specific pattern is verilated into an infinite loop when optimizations are enabled area: scheduling Issue involves scheduling/ordering of events status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#5080 opened Apr 29, 2024 by ArthurRosaMelexis
cleanup: remove unnecessary unique_ptr<ostream>
#5077 opened Apr 27, 2024 by FanShupei Loading…
Fix DFG assertion with SystemC
#5076 by gezalore was merged Apr 27, 2024 Loading…
tests: disable ASLR on verialtor compile/execute
#5075 by gezalore was merged Apr 29, 2024 Loading…
$random sequences with the same seed do not equal resolution: wontfix Closed; work won't continue on an issue or pull request
#5074 by punzik was closed Apr 27, 2024
Remove unknown '--' form cmake -E
#5073 by gezalore was merged Apr 27, 2024 Loading…
Avoid stack overflow in models by not localising large variables area: performance Issue involves performance issues resolution: fixed Closed; fixed
#5072 by gezalore was closed Apr 30, 2024
Dynamically set the stack size on Linux status: asked reporter Bug is waiting for reporter to answer a question
#5071 opened Apr 27, 2024 by poemonsense
$readmemb and +verilator+rand+reset incompatible status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#5070 opened Apr 26, 2024 by sifferman
Verilator finds a latch where there is no one new New issue not seen by maintainers
#5068 by algrobman was closed Apr 25, 2024
ProTip! Find all open issues with in progress development work with linked:pr.